Лого - последние новости часа    К новостям
26.03.2020 02:44

Samsung отгрузила миллион чипов EUV DRAM

Компания Samsung Electronics объявила о производстве и отгрузке 1 млн модулей первой на рынке памяти (D1x) DDR4 (Double Date Rate 4) DRAM, произведенных с использованием технологии EUV (литографии в глубоком ультрафиолете). Новинки прошли валидирова...

Samsung отгрузила миллион чипов EUV DRAM

Читайте полный текст на сайте digimedia.ru

Samsung отгрузила первый миллион модулей EUV DRAM Компания Samsung объявляет о производстве и отгрузке 1 миллиона модулей первой на рынке памяти (D1x) DDR4 DRAM, произведенных с использованием литографии в глубоком ультрафиолете (технология EUV). Теперь компания создает функциональные узлы с исполь...

Samsung отгрузила 1 млн моделей памяти DDR4 DRAM Сейчас корейский производитель занимается созданием модулей для дальнейшего их использования в мобильных устройствах, серверах и высокопроизводительных персональных компьютерах....

Преимущества Занятий с Инструктором по Горным Лыжам и Сноуборду 1. Безопасность в Первую Очередь Занятия с профессиональным инструктором гарантируют высший уровень безопасности. Инструкторы обучены предотвращать травмы, поддерживать контроль и обеспечивать безопасность на склонах. все предложения и услу...

Samsung объявила об отгрузке миллиона первых в отрасли чипов EUV DRAM Samsung Electronics объявляет о производстве и отгрузке 1 млн модулей первой на рынке памяти (D1x) DDR4 (Double Date Rate 4) DRAM, произведенных с использованием технологии EUV (литографии в глубоком ультрафиолете). Модули прошли валидирование крупн...

Samsung отгрузила первый миллион модулей DDR4, изготовленных с применением EUV-литографии, и планирует начать производство DDR5 в 2021 году В следующем году компания Samsung планирует начать производство памяти DDR5 и LPDDR5, используя технологию фотолитографии в глубоком ультрафиолете (EUVL). Фактически, Samsung уже некоторое время занимается изготовлением чипов DRAM с использованием т...

Залог квартиры как способ решения финансовых проблем Залог квартиры день в день может быть эффективным способом решения финансовых проблем Залог квартиры день в день: Полный гид Введение Залог квартиры - это один из способов получения финансовой поддержки в критической ситуации. В этой статье м...

Samsung первой начала выпускать память DRAM с использованием сканеров EUV: отгружен первый миллион модулей Компания Samsung Electronics сообщила о преодолении знаковой вехи. Клиенты компании получили в своё распоряжение один миллион модулей памяти DDR4 на первых в мире кристаллах памяти, для выпуска которых использовались сканеры диапазона EUV. Все они п...

Производители чипов ликуют: цены DRAM растут 11 дней подряд Спотовые рыночные цены на микросхемы DRAM, несомненно, растут: эта тенденция наблюдается уже в течение 11 дней подряд. Безусловно, это хороший знак для производителей чипов. Спотовая цена 8-гигабитной DDR4 DRAM по состоянию на прошлую пятницу достиг...

Инсайды #2935: Samsung Unpacked, Radeon RX 8900 XTX и новые чипы Samsung DDR5 DRAM В этом выпуске Инсайдов: стала известна дата проведения Unpacked 2023; Radeon RX 8900 XTX будет вдвое мощнее RX 7900 XTX; Samsung анонсировала 12-нанометровые чипы DDR5 DRAM. Стала известна дата проведения [...]...

Samsung выпускает память EUV DRAM основанный на 10 нм Samsung объявила об успешной поставке 1 миллиона модулей памяти DRAM, изготовленных по новой технологии ультрафиолетового излучения EUV класса основанного на 10 нм техпроцессе. Продукты Samsung EUV DRAM будут использоваться в персональных компьютера...

Samsung занимает 44,1% мирового рынка DRAM Компания Samsung Electronics сохранила доминирующее положение на мировом рынке DRAM в первом квартале 2020 года, несмотря на снижение выручки на фоне пандемии COVID-19. По сравнению с предыдущим кварталом рынок сократился на 4,6%, до 14,8 млрд долла...

Samsung разработала память LPDDR5X DRAM для систем 5G и ИИ Компания Samsung Electronics объявила сегодня о разработке первых в отрасли 14-нанометровых 16-гигабитных чипов памяти стандарта Low Power Double Data Rate 5X (LPDDR5X) DRAM....

Samsung запустила производство 16 Gb модулей памяти LPDDR5 DRAM Компания Samsung, являющаяся одним из мировых лидеров по производству полупроводников, объявила, что она приступила к серийному производству первых в отрасли 16 Gb чипов оперативной памяти LPDDR5 (10 нм) для смартфонов премиум-класса. Скорость перед...

В Samsung рассчитывают на высокий спрос на память DRAM и смартфоны Компания планируют вновь начать крупные приобретения: у нее накопились значительные свободные денежные средства. Хотя спрос на микросхемы памяти в текущем квартале останется высоким, в Samsung Electronics полагают, что из-за укрепления корейского во...

Samsung расширила объем модулей памяти LPDDR5 DRAM до 16 Гбайт Компания Samsung Electronics объявила о производстве первого в отрасли 16-гигабайтного мобильного пакета LPDDR5 DRAM для своих смартфонов следующего поколения. Улучшенная версия модуля получила расширенные функции 5G и AI. За счет добавленной емкост...

Samsung анонсировала первую в отрасли память GDDR6 DRAM со скоростью в 24 Гбит/с Компания Samsung Electronics объявила о начале тестирования первой в отрасли графической памяти GDDR6 DRAM со скоростью обработки 24 Гбит/с....

Samsung удалось упрочить лидерство на рынке DRAM и NAND для смартфонов в минувшем полугодии Объем мирового рынка памяти для смартфонов в первом полугодии 2020 года составил 19,2 млрд долларов, а безусловным лидером на этом рынке остается компания Samsung Memory. Такие выводы содержатся в новом отчете, подготовленном специалистами Strategy ...

Samsung начал производство чипов EUV Компания Samsung Electronics объявила о запуске серийного производства на новой линии по выпуску полупроводников в Хвасоне (Hwaseong), Корея. Производственная линия V1 является первой линией Samsung, специализирующейся исключительно на выпуске полуп...

Samsung откладывает производство 3-нм чипов Из-за пандемии Covid-19 Samsung отложить массовое производство 3-нм чипов. Компания не смогла завершить установку оборудования для производственных линий вовремя из-за сбоя, вызванного коронавирусом. Южнокорейский гигант должен был начать массовое п...

Samsung начала производство 6-нм мобильных чипов Компания Samsung объявила о запуске новой производственной линии V1 для полупроводниковой продукции. В дополнение к актуальным 7-нм решениям корпорация начнёт поставки чипов, изготовленных по более [...]...

Технология Samsung X-Cube 3D для 7-нм чипов готова Компания Samsung объявила о том, что ее технология упаковки 3D-микросхем eXtended-Cube (X-Cube) теперь готова к развертыванию на технологических узлах EUV 7 и 5 нм. Компания Samsung недавно успешно создала 7-нм тестовый чип X-Cube, в котором SRAM ус...

Samsung может стать производителем чипов М1 для Apple Apple уже анонсировала свой новый чипсет M1 как часть Apple Silicon, и с этим официально начала процесс перехода от Intel к собственным чипсетам для устройств Mac....

Samsung начинает производство 3-нм чипов первого поколения Samsung Foundry объявила о начале массового производства своих чипов первого поколения на 3-нанометровом техпроцессе. Он основан на новой архитектуре транзисторов GAA (Gate-All-Around), которая является следующим шагом после FinFET....

Samsung пропустит 4-нм техпроцесс и перейдёт к производству 3-нм чипов Ещё в 2017 году Samsung объявила о своих планах по производству от 10-нм до 4-нм чипов. Южнокорейский уже выпустил 10-нм и 7-нм процессы, а в ближайшее время ожидается выход 5-нм чипа. Первым таким процессором станет Exynos 992, который дебютирует в...

Samsung притормозила строительство новой фабрики чипов в США Компании Samsung пришлось приостановить строительство полупроводникового завода в техасском Тейлоре, производство чипов на котором планировалось начать уже в 2024 году. Отсрочка во многом выглядит как реакция на спад на мировом рынке микрочипов, пиш...

Huawei может заказать производство чипов у Samsung и SK Hynix Компания Huawei Technologies ведет переговоры с Samsung Electronics и SK Hynix о поставках микросхем, сообщает Korea Economic Daily со ссылкой на инсайдеров, знакомых с ситуаций. Впрочем, пока представители двух корейских компаний опровергают сообще...

Samsung представила технологию производства чипов 17LPV — гибрид 14- и 28-нм Компания Samsung анонсировала новый 17-нм техпроцесс, предназначенный для производства продуктов, которые сейчас производятся с использованием планарного 28-нм техпроцесса....

Зачем Apple понадобилось больше чипов, чем Samsung и Huawei Компании оценивают не только по размеру их годового дохода, числу сотрудников или величине их рыночной капитализации. В международной аналитической компании Gartner придумали еще один рейтинг, положение компаний в котором определяется их долями в со...

Samsung начала массовое производство 6-нм и 7-нм чипов с применением EUV-литографии Компания Samsung объявила о старте массового производства 6-нм и 7-нм чипов на основе процесса литографии EUV (Extreme Ultraviolet) на заводе V1 в городе Хвасоне, Южная Корея.По данным Samsung, объем производства 7-нм чипов с применением EUV-литогра...

Samsung первым в мире начал массовое производство 3 нм чипов Сегодня южнокорейская компания Samsung объявила о начале массового выпуска 3 нм функциональных узлов микропроцессоров. Таким образом, Samsung стал первым в мире производителем, объявившим о массовом производстве 3 нм чипов. Крупнейший в мире произво...

Samsung инвестирует $230 млрд в производство чипов в Южной Корее Samsung объявила о планах инвестировать $230 млрд в Южную Корею в течение следующих двух десятилетий, чтобы построить крупнейший в мире завод по производству чипов....

Samsung строит фабрику для производства чипов стоимостью $8.1 млрд Южнокорейская компания Samsung сообщила о строительстве нового завода, на котором будут производиться мобильные чипы с применением 5-нм техпроцесса.Фабрика располагается в кампусе города Пхёнтхэк провинции Кёнгидо, в 70 км к югу от Сеула. Запустить ...

Samsung приступила к закупкам оборудования для линии производства 5-нм чипов Через 10 лет компания Samsung Electronics собирается стать мировым лидером производства полупроводников. Сегодня доля Samsung на рынке контрактного производства примерно равна 10 %, а настоящий лидер ― компания TSMC ― удерживает 50 % этого рынка. Оч...

Samsung и TSMC могут запретить продажу их чипов и электроники в США Комиссия по международной торговле США намерена начать расследование в отношении Samsung и TSMC в связи с предполагаемым нарушением компаниями патентных прав, связанных с чипами и мобильными устройствами на их основе. Об этом сообщает The ......

Samsung серьёзно расширяет выпуск чипов с использованием сканеров EUV Компания Samsung первой начала использовать сканеры диапазона EUV для выпуска полупроводников, что произошло ещё осенью 2018 года. Но по-настоящему массовое использование техпроцессов на основе EUV-проекции происходит только сейчас. В частности, Sam...

Samsung построит новый завод по выпуску 5-нм чипов для конкуренции с TSMC В настоящий момент TSMC считается лидером полупроводниковой отрасли, выпускающим чипы для клиентов по всему миру. Догнать и перегнать тайваньскую компанию планирует Samsung. Как стало известно, южнокорейский [...]...

Один из первых 3-нм чипов производства Samsung обнаружился в ASIC-майнере Хотя Samsung начала массовое производство чипов на базе техпроцесса SF3E (3 нм, транзисторы GAA) примерно год назад, к настоящему моменту мало кто из производителей электроники подтвердил его использование в своих продуктах. Недавно аналитики TechIn...

Samsung планирует увеличить количество чипов Exynos в своих смартфонах В данный момент Samsung — один из немногих вендоров, который умеет производить собственные чипсеты для мобильных устройств. Читать полную статью...

Qualcomm может отказаться от услуг Samsung по выпуску чипов Snapdragon 8 Gen 1 В числе компаний, получивших права на производство однокристальной системы Snapdragon 8 Gen 1, оказалась Samsung.Тем не менее, именно произведенные ею чипы оказались проблемными. Samsung столкнулась с высоким процентом брака при производстве —...

Samsung пропустит 4-нм техпроцесс и перейдёт сразу к 3-нанометровому производству чипов Как ожидается, в августе этого года компания Samsung запустит массовое производство чипов по нормам 5-нанометрового технологического процесса. Первым устройством, изготовленным по нормам этого техпроцесса, станет собственная мобильная система-на-чип...

Samsung разработала вертикальную 3D-компоновку для 7-нм чипов и предлагает её всем желающим Уже понятно, что закон Мура продолжит жить лишь с оглядкой на комплексный подход к проектированию и производству чипов. Будущие микросхемы станут многоярусными и многокомпонентными, чтобы строением компенсировать остановку в снижении технологических...

Samsung сообщила об инфицировании коронавирусом работника на заводе по производству чипов В Южной Корее стремительно растёт число зафиксированных случаев заболевания коронавирусом SARS-CoV-2. В субботу стало известно о первом случае заражения работника на полупроводниковом заводе компании Samsung. Заболевший непосредственно не участвовал...

Apple и Samsung позади: названы лидеры рынка мобильных чипов Если с процессорами для настольных компьютеров и ноутбуков ситуация более-менее знакома даже не сильно посвященному в мир вычислительной техники человеку, то на рынке мобильных чипсетов все обстоит несколько иначе. И становится гораздо интереснее: с...

Samsung планирует построить 12 заводов по производству чипов за 192 млрд долларов Компания Samsung строит завод по производству микросхем в Остине, штат Техас, США, стоимость которого составляет около $17 млрд. Однако в планах южнокорейского производителя открыть всего 12 заводов по производству чипов общей стоимостью $192 млрд. ...

Samsung переживает самый худший квартал с 2009 года по продажам чипов Стало известно, что операционная прибыль Samsung за первый квартал составит 600 млрд вон или 449 млн долларов. Если в компании подтвердят данные, то это будет самый низкий показатель с первого квартала 2009 года. Цены на чипы памяти упали за последн...

Война, инфляция и дефицит чипов: Samsung сокращает производство смартфонов на 30 миллионов Изначально Samsung планировала произвести около 310 миллионов смартфонов в течение 2022 года, но эта цифра была сокращена примерно до 280 миллионов устройств....

Samsung приступила к строительству в Южной Корее нового завода для выпуска 5-нм чипов Неопределённость с пандемией коронавируса заставляет производителей памяти осторожничать с инвестициями в DRAM и NAND, но держать деньги «под матрасом» тоже не выход. В такой ситуации компания Samsung приняла решение ещё сильнее нарастит...

Крепитесь, вся электроника подорожает — TSMC и Samsung поднимут цены на изготовление чипов Также планирует повысить стоимость изготовления чипов и тайваньская TSMC. По слухам, последняя планирует поднять стоимость производства на 5–8 %. Не так значительно, как корейцы, но все же. Эксперты предсказывают, что вслед за этим обязательно после...

Samsung заявила, что догонит и перегонит TSMC через 5 лет в контрактном производстве чипов Корейская компания Samsung Electronics считает, что сможет обойти в сегменте контрактного производства чипов главного конкурента в лице TSMC за пять лет. Обе компании планируют примерно одновременно внедрить 2-нанометровый процесс для производства ч...

Huawei предложила Samsung и Hynix гарантировать поставки чипов, несмотря на возможные ограничения США Huawei Technologies обратилась к южнокорейским компаниям Samsung Electronics Co. и SK Hynix Inc. с просьбой предоставить гарантии на высоком уровне по поводу того, что они продолжат поставлять микросхемы памяти, несмотря на усиливающееся давление со...

Перебои в энергоснабжении в Техасе вынудили Samsung приостановить фабрику по производству полупроводниковых чипов Компания Samsung была вынуждена временно приостановить производство чипов на своей фабрике в Остине, штат Техас. Причиной тому стало отключение энергоснабжения в штате после зимней бури Uri. Сообщается, что буря оставила без электричества около 200 ...

Samsung сократит производство смартфонов на 30 миллионов из-за дефицита чипов, инфляции и войны в Украине Изначально компания Samsung планировала произвести около 310 миллионов смартфонов в течение текущего года...

TSMC повышает цены на производство чипов, Samsung — вслед за ней. Ждем подорожания техники Итак, передовые техпроцессы (7-нм и ниже) подорожают на 10%, а техпроцесы 16-нм и толще и вовсе вырастут в цене на 20%....

На долю Samsung приходится половина выручки всего рынка чипов памяти для смартфонов Компания Strategy Analytics подвела итоги исследования мирового рынка чипов памяти для смартфонов в 2019 году: объём отрасли составил приблизительно $39,3 млрд. Представленные данные учитывают отгрузки флеш-накопителей NAND, а также модулей оператив...

Intel удивила своими тестами чипов против чипов M1 от Apple С тех самых пор, как компания Apple приняла решение окончательно перейти на свою собственную платформу процессорных чипов Mac M1, компания Intel, которая ранее была наиболее крупным и активным ее партнером в этом сегменте, начала испытывать некоторы...

Компании IBM и Samsung создали новые транзисторы, которые станут ключом к технологиям производства субнанометровых чипов На проходившей недавно в Сан-Франциско конференции IEDM представители компаний IBM и Samsung объявили об успешной разработке нового типа транзисторов, которые будут располагаться на поверхности чипа в вертикальном положении. Отметим, что на чипах вс...

Samsung намерена увеличить производство чипов на своём крупнейшем заводе, несмотря на вероятное замедление экономики Южнокорейский техногигант Samsung Electronics планирует в следующем году нарастить производство чипов на своём крупнейшем полупроводниковом заводе. Компания готовится масштабировать выпуск, несмотря на прогнозы многочисленных экспертов, предрекающих...

Samsung оценила флагманский телевизор QLED 8K в 1 миллион рублей Все телевизоры уже доступны в интернет-магазине корейской компании....

NVIDIA отгрузила более миллиарда GPU с поддержкой CUDA Одним из главных достижений минувшего квартала, по словам представителей NVIDIA, стало превышение серверной выручкой денежных поступлений от игровых продуктов. Оно символизирует эволюционную трансформацию бизнес-модели компании, хотя третий квартал ...

За последние семь лет AMD отгрузила уже более 550 млн графических ядер Аналитики JPR в свежем отчете обратили внимание на очередное весомое достижение AMD — всего за семь лет компания отгрузила на рынок более 550 млн графических ядер (если быть точным, 553 млн единиц GPU). Это весьма важное свершение обусловлено успехо...

"Сахалин Энерджи" отгрузила юбилейную 1800-ю стандартную партию СПГ Газовоз Hyundai Aquapia взял на борт 1800-ю стандартную партию сжиженного природного газа, производимого на ПК "Пригородное" с 2009 года в рамках проекта "Сахалин-2" Газовоз Hyundai Aquapia взял на борт 1800-ю стандартную партию сжиженного природног...

По подсчетам компании Cypress, она уже отгрузила миллиард изделий с поддержкой USB-C Компания Cypress, в прошлом году купленная компанией Infineon Technologies, объявила, что менее чем за пять лет ей удалось достичь знакового рубежа, отгрузив миллиард изделий с поддержкой USB-C. Cypress — крупнейший поставщик решений с поддерж...

Индия отгрузила для России препарат гидроксихлорохин для лечения COVID-19 на $1,7 млн Торговый представитель России в этой стране Александр Рыбас сообщил, что до конца года общая сумма поставок составит $13,1 млн...

1,7 тысячи тонн животноводческой продукции отгрузила Брянщина на экспорт в октябре В том числе, в Королевство Саудовская Аравия, Марокко, Кувейт и Узбекистан отправились 27 партий говядины общим весом 453 тонны....

В четвертом квартале 2021 года компания Tesla отгрузила 308 600 электромобилей Компания Tesla сегодня сообщила о рекордных квартальных поставках, которые намного превысили оценки аналитиков. Самый дорогой автопроизводитель в мире демонстрирует рекордные поставки шестой квартал подряд. За четвертый квартал было отгружено 308 60...

Apple наладила производство защитных масок для медработников и уже отгрузила более 20 млн штук Не так давно Apple запустила специальный сайт и приложение с тестами и рекомендациями о коронавирусной инфекции COVID-19, разработанный совместно с американским CDC. Теперь же стало известно о следующей инициативе знаменитого американского производи...

Tesla отгрузила рекордное количество автомобилей несмотря на «исключительно трудный квартал» Tesla сообщила об отгрузке 310 048 автомобилей за первый квартал текущего года. Илон Маск (Elon Musk) назвал этот квартал «исключительно трудным из-за перебоев в цепочке поставок и политики Китая по нулевому Covid», однако это не помешал...

SK Hynix отгрузила первые образцы «самой многослойной» 176-слойной флэш-памяти 4D NAND Южнокорейский производитель микросхем памяти SK Hynix сообщил о создании «самой многослойной в отрасли» 176-слойной флэш-памяти типа TLC 4D NAND плотностью 512 Гбит, способной хранить три бита в одной ячейке. В ноябре компания отправила образцы крис...

Вес денег: что тяжелее – миллион рублей или миллион долларов В боевике Гая Ричи «Гнев человеческий» злодеи легко увезли 180 миллионов долларов на двух обычных квадроциклах. Если вас ничего не насторожило в этой сцене, то мы расскажем, что здесь не так....

«Запорожец» на миллион: Почему за 33-летний ЗАЗ просят миллион рублей? Редкий ЗАЗ-968 «Запорожец» в нетронутом состоянии продают за миллион рублей. Владелец автомобиля утверждает, что автомобиль новый, а его пробег составляет всего 17 тысяч километров. Машина не эксплуатировалась зимой и стояла в гараже. Ос...

Apple борется с коронавирусом: компания отгрузила уже 20 млн масок и наладила производство пластиковых щитов для врачей В связи с последними событиями в мире, многие крупные компании начали всячески помогать врачам бороться с пандемией коронавируса. Естественно, не обошлось без Apple....

Продавцы DRAM расширяют предложения LPDDR5 Производители памяти расширили свои предложения DRAM для мобильных устройств LPDDR5, что позволит включать такую память в смартфоны среднего класса, обладающие поддержкой 5G. Samsung Electronics первой представила память LPDDR5. После выпуска своих ...

Что тяжелее – миллион долларов или миллион рублей В недавнем боевике Гая Ричи «Гнев человеческий» злодеи легко увезли 180 миллионов долларов на двух обычных квадроциклах. Если вас ничего не насторожило в этой сцене, то мы расскажем, что здесь не так....

Новая техника атаки RowHammer на DRAM-память Компания Google представила "Half-Double", новую технику атак класса RowHammer, позволяющих изменить содержимое отдельных битов динамической оперативной памяти (DRAM). Атака воспроизводится на некоторых современных чипах DRAM, производители которых ...

SK hynix начинает производство DRAM с использованием EUV-литографии Компания SK hynix объявила, что в этом месяце она начала массовое производство мобильной памяти DRAM LPDDR4 ёмкостью 8 ГБ на основе технологии 1a-нм, которая является четвертым поколением 10-нм техпроцесса. Новые чипы стабильно работают на скорости ...

SK Hynix не отказалась от планов по выпуску DRAM с применением EUV-литографии В октябре прошлого года стало понятно, что корейская компания SK Hynix готова к внедрению литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем оперативной памяти. Предполагалось, что SK Hynix к началу 2021 года осв...

SK hynix представила первую в мире память DDR5 DRAM Южнокорейская компания SK hynix объявила о выпуске первой в мире памяти DDR5 DRAM. Это высокоскоростной продукт оптимизированный для больших данных, искусственного интеллекта и машинного обучения в качестве стандарта DRAM следующего поколения. Харак...

Lexar вышла на рынок DRAM или уши китайцев торчат отовсюду На днях глобальный сайт Lexar сообщил о выходе на рынок DRAM. Несколько лет назад компания Micron продала бренд Lexar крупнейшему китайскому производителю флеш-продукции компании Longsys. На Западе Lexar по-прежнему в почёте и китайцы не прогадали. ...

В твердотельных накопителях WD Black SN770 не используется кэширование в DRAM Компания Western Digital расширила серию твердотельных накопителей WD Black моделью SN770. Это накопитель типоразмера M.2, оснащенный интерфейсом PCIe Gen4 x4. Он предложен объемом 250 ГБ, 500 ГБ, 1 ТБ и 2 ТБ. В накопителях WD Black SN770 не использ...

SK hynix выпустила первый в мире модуль DDR5 DRAM Продукт ориентирован на платформы машинного обучения, искусственного интеллекта и системы хранения данных. Впервые о разработке 16 Гбит DDR5 модуля в SK hynix заявили в ноябре 2018 года. Планки DDR5 (скорость передачи данных 4800-5600 Мбит/с) в 1,8 ...

Blacksmith - новая атака на память DRAM и чипы DDR4 Группа исследователей из Швейцарской высшей технической школы Цюриха, Амстердамского свободного университета и компании Qualcomm опубликовали новый метод атаки класса RowHammer, позволяющий изменить содержимое отдельных битов динамической оперативно...

Цены на DRAM и флэш-память NAND резко упали Согласно свежей информации, в октябре цены на оперативную память DRAM и флэш-память NAND упали. Причиной падения, как сообщается, стали недавно вступившие в силу санкции США в отношении Huawei. Если говорить точнее, то цена оперативной памяти снизил...

В предыдущем квартале памяти DRAM было продано на 17,65 млрд долларов По данным TrendForce, в четвертом квартале прошлого года мировая выручка на рынке DRAM достигла 17,65 млрд долларов, увеличившись в годом выражении на 1,1%. По большей части этот рост произошел из-за того, что китайские бренды смартфонов, включая Op...

Китайская CXMT готовится начать производство DRAM по новому 17-нм техпроцессу Компания ChangXin Memory Technologies (CXMT), ранее известная как Innotron, готовится начать производство динамической памяти с произвольным доступом (DRAM) с использованием технологического процесса 17 нм (10G3). Новая технология использует ряд инн...

Рынкам DRAM и NAND предрекли стагнацию: низкие цены на память и SSD? Выручка производителей оперативной памяти типа DRAM и энергонезависимой памяти типа NAND в этом и следующем году не достигнет рекордных уровней 2018 года, говорится в новом докладе компании IC Insights. Согласно данным аналитиков, на доходы изготови...

Анонс Xiaomi Mi Band 5 ожидается послезавтра. Xiaomi отгрузила 100 миллионов фитнес-браслетов Согласно новому отчету IDC, суммарные поставки носимых устройств Xiaomi превысили 100 миллионов единиц всего за шесть лет. Учитывая, что первые умные часы Xiaomi вышли только несколько месяцев назад, можно предположить, что речь идет в основном о ли...

Xbox Series X получит SSD на контроллере Phison E19: всего лишь 3,7 Гбайт/с и без DRAM Несколько дней назад стало известно, что твердотельный накопитель консоли Xbox Series X будет построен на контроллере Phison, но на каком именно, не уточнялось. Теперь же из профиля LinkedIn одного из разработчиков ПО, работавшего в Phison, стало из...

Оперативная память и SSD будут оставаться дешёвыми ещё минимум год. Из-за соответствующих цен на микросхемы DRAM и NAND Как передаёт источник, глава Apacer заявил, что его компания ожидает сохранения низких цен на память DRAM и NAND как минимум до середины следующего года. Причина проста — предложение превышает спрос, так как последний снизился в связи с пандем...

Оперативную память перестали покупать. Производители сверхдефицитной DRAM-памяти терпят убытки Выручка производителей DRAM в I квартале 2022 г. обвалилась на 4% из-за перенасыщения рынка, падения спроса на оперативную память и ситуации на Украине, хотя еще в 2020 и 2021 гг. планки памяти были чуть ли не в дефиците из-за повышенного спроса. Не...

SK Hynix ожидает дефицита памяти DRAM и планирует ускорить ввод нового завода Пандемия коронавируса SARS-CoV-2 и вызванная ею изоляция граждан увеличили нагрузки на центры по обработке данных и удалённые сервисы. Возникла насущная потребность в расширении мощностей и в комплектации серверов. В частности, ожидается рост спроса...

Выпуск новых видеокарт и игровых приставок повысит спрос на графическую память DRAM По мнению специалистов TrendForce, исследовательского подразделения компании DRAMeXchange, ожидаемый в этом году выпуск новых видеокарт и игровых приставок повысит спрос на графическую память DRAM. Насколько известно, в третьем квартале этого года A...

Доходы от продаж DRAM снизились почти на 30% – самое большое падение рынка памяти с 2008 года По данным аналитиков TrendForce, глобальные доходы от продажи DRAM упали на 28,9% в третьем квартале этого года по сравнению с предыдущим кварталом. Падение стало самым большим со времен финансового кризиса 2008 года. Контрактные цены DRAM в третьем...

По прогнозу TrendForce, в 2024 году в автомобильную электронику будет идти более 3% всей выпускаемой памяти DRAM Электронные системы автомобилей становятся все сложнее, что влечет за собой увеличение среднего объема DRAM в расчете на один автомобиль. Хотя до смартфонов автомобилям еще далеко, уже в ближайшие годы этот показатель утроится и превысит 6 ГБ. Такой...

Micron начала отгрузки новой мобильной памяти LPDDR5 DRAM, смартфон Xiaomi Mi 10 получит ее одним из первых Компания Micron Technology на этой неделе объявила о начале серийного производства и первых поставках микросхем оперативной памяти LPDDR5 DRAM, которая значительно быстрее и энергоэффективнее использующейся до этого памяти LPDDR4x. Грядущий флагманс...

Samsung Money – дебетовая карта с интеграцией в Samsung Pay и программой лояльности Samsung Rewards Компания Samsung поделилась дополнительными сведениями о своей грядущей программе дебетовых карт Samsung Money. Она запускается вместе с партнёром SoFi и будет напрямую связана с существующим приложением Samsung Pay. Фактически Samsung Money предлож...

Производство чипов находится под угрозой из-за ... Военный конфликт России и Украины может привести к тому, что производство неона, критического газа в передовом производстве полупроводников, упадет до тревожно низкого уровня в то время, когда мир уже борется с нехваткой чипов. Изображение полупрово...

США возвращают производство чипов домой Закон CHIPS and Science Act предусматривает выделение 280 млрд долл. на финансирование исследований и развитие полупроводникового производства на территории страны. В Соединенных Штатах принят закон CHIPS and Science Act о выделении 280 млрд долл. н...

TSMC уже ведёт разработку 2-нм чипов По данным портала DigTimes компания TSMC уже ведёт разработку чипов на основе 2-нм техпроцесса, параллельно с этим разрабатывая чипы на основе 3-нм техпроцесса. Судя по всему, на данном этапе 2-нм чип является всего лишь теорией, однако работы по ра...

В США запущено производство GaN-чипов для 5G-оборудования Поставщик полупроводниковых компонентов из Нидерландов NXP Semiconductors объявил о запуске в Аризоне (США) завода по производству чипов на основе нитрида галлия (GaN)....

Apple готовится к выпуску 5-нм чипов Согласно двум новым отчетам, контрактные производители Apple такие, как Compal Electronics и Wistron присоединяются к Foxconn в планировании восстановления уровней производства к концу марта. Производитель Apple A-серии TSMC также готовится начать в...

Производитель чипов Arm подал документы на IPO в США Британский производитель чипов Arm, который принадлежит японской SoftBank Group, подал документы на первичное публичное размещение в США. Arm рассчитывает привлечь от $8 млрд до $10 млрд, сообщают источники Reuters. Агентство указывает, что это IPO ...

AMD: в период дефицита не до бюджетных чипов По признанию Лизы Су, в условиях острой нехватки микроэлектронных компонентов компания уделяет приоритетное внимание поставкам процессоров и графических плат с максимальной маржинальностью. На конференции J.P. Morgan генеральный директор компании AM...

Представлена плата ECS B450AM4-M для чипов AMD AM4 Компания ECS пополнила ассортимент материнских плат моделью B450AM4-M типоразмера Micro-ATX, которая предназначена для создания компактного ПК. Новинка характеризуется размерами 244:244 мм, набором системной логики AMD B450, поддержкой процессоров A...

BMW из-за кризиса чипов отказывается от тачскрина Компания BMW подтвердила, что будет убирать сенсорные экраны из некоторых моделей своих автомобилей в связи с глобальным дефицитом чипов, который, по некоторым оценкам, может продлиться до 2023 года. BMW подтвердила СМИ, что несколько моделей будут ...

Intel покупает крупного производителя чипов По данным информационного издания The Wall Street Journal, вскоре Intel может объявить о приобретении почти за 6 миллиардов долларов, которое даст толчок ее относительно молодому литейному бизнесу. Сообщается, что чиповый гигант близок к заключению ...

TSMC приступила к разработке чипов A14 для iPhone Как известно, компания TSMC является главным поставщиком процессоров для компании Apple. Именно сегодня Apple полностью опровергла слухи о приостановке производств TSMC. Более того, компания заявила, что совсем скоро TSMC приступит к производству но...

Нехватка чипов продолжится до 2023 года Об этом говорят достаточно часто, высказывают свои предположения разные бренды. Теперь к ним присоединилась Toshiba. Читать полную статью...

ARM дистанцируется от IoT-технологий в контексте своих чипов Компания ARM, которая является всемирно известным производителем, а точнее, лицензиатором ARM-чипов, которые стали применяться куда как чаще в самом широком сегменте мобильных и компьютерных устройств, сегодня приняла официальное решение о том, чтоб...

США отрезают Huawei от мировых производителей чипов Министерство торговли США заявило, что вносит поправки в правила экспорта, которые нацелены на ограничение поставок китайской Huawei полупроводниковой продукции, при разработке которой были использованы американские технологии и программное обеспече...

Дефицит чипов грозит испортить Рождество Если вы не поторопитесь, вам может не достаться даже игрушек. Энтузисты ПК о глобальном дефиците чипов знают не понаслышке. Попытки приобрести по хорошей цене компоненты для самостоятельной сборки или даже готовый ПК с весны 2020 года не имеют успех...

NVidia близка к приобретению ARM-чипов от Softbank Многим идея о том, что компания NVidia может быть заинтересована в чем-то помимо графических технологий может показаться довольно необычной – однако это происходит ровно до того момента, пока пользователь не начинает более подробным образом уз...

Заказы чипов MediaTek от Huawei увеличились на 300% Huawei является одной из трёх крупнейших компаний-производителей смартфонов, способных создавать собственные чипсеты. Но из-за запрета США бренд не может продолжать размещать новые заказы на производство своих чипсетов HiSilicon Kirin для TSMC. Это ...

Недавние санкции США оставили Huawei без чипов Крупнейший в мире изготовитель микросхем TSMC прекратил прием заказов от популярного производителя гаджетов. Несколько дней назад Министерство торговли США сообщило об ужесточении правилах экспорта. Согласно новым поправкам для использования неамери...

TSMC готовится к старту производства чипов A14 Издание DigiTimes, со ссылкой на достоверные источники, сообщило сегодня, что основной поставщик процессоров A-серии, компания TSMC, готовится к запуску производства чипов A14. Данный чип будет сделан по 5-нм технологии и несмотря на ранние слухи о ...

В мире дефицит компьютерных чипов, почему это так ... Возможно, интегральные схемы или, чаще всего, просто чипы, являются самым маленьким, но при этом самым требовательным продуктом, когда-либо производимым в глобальном масштабе. На фоне таких затрат и трудностей во всем мире возникла зависимость от дв...

Тайваньская TSMC начинает массовое производство 3-нм чипов Тайваньский технологический гигант TSMC заявил в четверг, что начал массовое производство своих 3-нанометровых чипов, которые являются одними из самых передовых на рынке. Тайваньская компания-производитель полупроводников управляет крупнейшими в мир...

TSMC объявила дату выпуска 4-нм чипов для смартфонов TSMC, одна из ведущих компаний по изучению и производству полупроводниковых изделий, сообщила о расширении ассортимента фирменной продукции. Новые микросхемы, дата выпуска которых недавно была объявлена [...]...

Huawei прекращает выпуск фирменных чипов Kirin Компания Huawei вот уже полгода возглавляет мобильный рынок, и это несмотря на санкции со стороны США.Тем не менее, указанные санкции действуют и достаточно серьезно бьют по Huawei. Так, генеральный директор компании Юй Чэндун заявил, что с 15 сентя...

Невзирая на коронавирус: MediaTek увеличивает поставки 5G-чипов Компания MediaTek, несмотря на продолжающееся распространение нового коронавируса, наращивает отгрузки чипов с поддержкой 5G. Об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Спрос на мобильные процессоры MediaTek растёт. В январ...

Производство чипов для электронных загранпаспортов в России не приостанавливалось Портал "Госуслуги" начал предупреждать жителей российских регионов о приостановке выдачи загранпаспортов нового образца до второй половины марта по техническим причинам. Это выло связано, как следовало из сообщений, с дефицитом чипов для электронных...

США планируют перекрыть поставки чипов TSMC для Huawei Агентство Reuters, ссылаясь на анонимные источники, утверждает, что трения между правительствами США и Китая в последнюю неделю особенно обострились. Стороны обмениваются взаимными обвинениями по поводу того, кто виноват в распространении коронавиру...

Google отказывается от чипов Qualcomm в пользу собственных Издание 9to5Google, ссылаясь на собственные источники, уверяет, что уже этой осенью Google представит первый смартфон на базе процессора собственной разработки. Таким образом компания сможет ещё лучше [...]...

Qualcomm получила лицензию на поставку чипов для Huawei В сентябре сообщалось, что из-за пересмотренного запрета в США тайваньская TSMC не может сотрудничать с Huawei....

Компания TSMC планирует начать производство 5 нм чипов Производитель полупроводников TSMC планирует увеличить производство чипов 5 нм техпроцесса. По словам производителя, производство начнется в апреле. Чипы компании TSMC пользуются большим успехом. TSMC является эксклюзивным поставщиком Apple чипов се...

При реимплантации чипов для банковских карт брак достигает 40% Реимплантация чипов для банковских карт приводит к браку до 40% случаев. Об этом заявили эксперты, которые пояснили для издания «Коммерсантъ» особенности процесса. Они также заявили, что экономия в этом случае будет, но не настолько боль...

Qualcomm не получала разрешение на поставку Huawei чипов 5G Неделю назад Qualcomm подтвердила, что подала заявку на лицензию на поставку чипов для Huawei. Теперь в отчете из Китая говорится, что компании дали зеленый сигнал для 4G вместо чипов 5G....

Производитель чипов вложит до $40 млрд в строительство заводов в США Завод TSMC в Аризоне начнет работу в 2024 году, а к 2026-му сможет производить самые современные на сегодняшний день 3-нм чипы. Председатель правления компании отметил, что после открытия заводов ее доход вырастет в четыре раза....

В сеть слили карту релизов чипов Qualcomm Qualcomm недавно представила свой последний флагманский чипсет – Snapdragon 865 Plus, который представляет собой улучшенный вариант Snapdragon 865. Сегодня в сеть слили план релизов будущих процессоров компании. Согласно этой утечке, новый фла...

AMD отбирает у Intel долю на рынке чипов для серверов Компания в последнем квартале 2021 года достигла рекордно высокой доли на рынке процессоров — 25,6%. В четвертом квартале 2021 года компания Intel уступила своему принципиальному конкуренту, Advanced Micro Devices, долю рынка процессоров для серверо...

США введут новые ограничения для китайских производителей чипов Администрация Байдена рассматривает новые ограничения на поставки инструментов для производства микросхем в Китай. Так США надеются затормозить развитие крупнейшего китайского производителя чипов SMIC, пишет Reuters....

Apple сократит производство iPhone из-за нехватки чипов Apple сократит свои прогнозируемые производственные показатели iPhone 13 на 2021 год на 10 миллионов единиц. Компания приняла решение в связи с продолжительной нехваткой чипов, Bloomberg News....

США запретили поставки ряда чипов в Китай и Россию Как сообщает The New York Times, США ввели ряд ограничений на поставки России и Китаю современных чипов, которые используются для суперкомпьютеров и искусственного интеллекта. Новые ограничения касаются высокопроизводительных графических процессоров...

США хотели бы производить на своей территории до 30 % передовых чипов Министр торговли США Джина Раймондо (Gina Raimondo) в ходе недавнего мероприятия подтвердила, что в качестве краткосрочных мер по борьбе с дефицитом полупроводниковых компонентов ведёт......

Компания TSMC начала производство 5 нм мобильных чипов Компания TSMC начала рискованное производство чипов, основанных на 5 нм техпроцессе. Новая технология приведет к повышению производительности, снижению энергопотребления и увеличению плотности транзисторов. Плотность транзисторов будет увеличена на ...

СМИ: США вынудили TSMC прекратить поставки чипов для Huawei По данным источника, США нашла способ, как повлиять на TSMC и лишить китайскую компанию доступа к полупроводниковой продукции....

СМИ узнали о планах США ограничить продажу чипов для Huawei Власти США могут ввести ограничения на продажу компьютерных чипов для китайской компании Huawei, несмотря на заявления президента страны Дональда Трампа, что американским компаниям нельзя запрещать продавать технологии. Об этом в понедельник, 24 фев...

Apple увеличивает заказы у TSMC на поставку 5-нм чипов В феврале этого года появились сообщения о том, что TSMC сократит поставки своих чипов для Huawei. Тем не менее никакого ущерба для TSMC от этого не ожидается, так как по данным источников, образовавшийся пробел восполнит увеличение заказов со сторо...

Уязвимость Starbleed представляет угрозу для чипов FPGA Сводная группа специалистов обнаружила уязвимость, затрагивающую чипы FPGA от компании Xilinx. Эти решения используются в центрах обработки данных, IoT-устройствах, промышленном оборудовании и так далее....

Huawei вынуждена остановить производство чипов из-за санкций Сборку процессоров производитель прекратит уже в следующем месяце....

TSMC построит еще четыре завода по производству чипов на 3 нм 17 июня на технологическом симпозиуме в Кремниевой долине руководство компании заявило о планах по запуску массового производства 2-нанометровых чипов к 2025 году. Компания TSMC, крупнейший в мире контрактный производитель микросхем, после завершени...

Qualcomm настаивает на продаже чипов для смартфонов Huawei Несмотря на то, что на данный момент санкции США против Huawei все еще имеют место быть, компания Qualcomm настаивает на продаже чипов для смартфонов китайской компании. Об этом пишет The Wall Street Journal, со ссылкой на предоставленные в презента...

TSMC разрабатывает 4-нанометровый техпроцесс производства чипов Генеральный директор TSMC Лю Дэйин на ежегодном собрании акционеров раскрыл планы по производству чипов по 4-нм техпроцессу. Глава тайваньской компании сообщил, что чипы с использованием 4-нм режима выйдут в 2023 году. Техпроцесс N4 станет улучшенно...

Украинец вживил в свое тело восемь чипов Национальный Реестр Рекордов Украины зарегистрировал Павла Клеца, как самого чипированного украинца. Рекордсмен вживил семь чипов в различные части своего тела. Об этом в среду, 26 мая, сообщает Telegram-канал Политика страны. С помощью чипов Павел ...

TSMC в следующем году запустит производство 3-нм чипов DigiTimes сообщило, что компания TSMC, готовится запустить тестовое производство 3-нанометровых чипсетов уже в конце текущего года....

Microsoft прекратит поддержку Windows для Mac на базе ARM-чипов Программное обеспечение Boot Camp уже много лет позволяет использовать настольную операционную систему Microsoft на компьютерах Apple. Но на Mac под управлением ARM-процессоров данный функционал работать не будет. По словам Microsoft, компания решил...

Южнокорейский бизнес инвестирует $471 млрд в производство чипов Южнокорейские технологические компании во главе с Samsung Electronics и SK Hynix собираются до 2027 года вложить 622 трлн вон ($471 млрд) в строительство «крупнейшего в мире кластера по производству полупроводников». Об этом пишет Bloomberg со ссылк...

Проблема нехватки чипов решится сама собой Кризис в одной компании — это возможность для другой. Нехватка полупроводников взвинтила прибыли таких компаний, как Nvidia, чьи микросхемы повсюду: от видеоигр до платформ машинного обучения и центров обработки данных. Такой ажиотаж сыграл на руку ...

Bloomberg: Германия инвестирует €20 млрд в производство чипов Правительство Германии собирается инвестировать до €20 млрд в производство полупроводников. Об этом пишет Bloomberg со ссылкой на источники....

Маск рассказал, что получат обладатели чипов Neuralink В современном обществе присутствует, как минимум, настороженность, сменяемая истериями, когда речь заходит о чипировании. Люди боятся его, как боятся всего нового, как, например, первых паровозов.......

Разработчик чипов для мозга рассказал о проекте в России Директор АНО "Лаборатория "Сенсор-Тех" Денис Кулешов в разговоре с Pravda.Ru рассказал об проекте по имплантации микрочипов в мозг человека. Ранее СМИ сообщили о программе правительства, по которой в России будет поддержано производство и установка ...

США вынудили TSMC прекратить поставки чипов для Huawei Taiwan Semiconductor Manufacturing Co.(TSMC), крупнейший в мире контрактный производитель чипов, приостановила прием новых заказов от Huawei Technologies в ответ на ужесточение экспортного контроля со стороны США, направленное на дальнейшее ограниче...

«Группа ГАЗ» из-за дефицита чипов выпускает некомплектные автомобили «Группа ГАЗ» уже две недели выпускает недоукомплектованные автомобили, виной тому — глобальный дефицит чипов. Об этом сообщает газета «Ведомости» со ссылкой на управляющего директора ГАЗа Андрея Софонова....

TSMC начнет производство 2 нм чипов в 2025 году TSMC объявила о рекордных доходах на фоне повышения цен в полупроводниковой отрасли, компания не проявляет никаких признаков замедления своего развития. Отвечая на вопрос о способности компании ориентироваться в неспокойных, охваченных инфляцией вод...

Производитель энергоэффективных AI-чипов Hailo получил финансирование в $60 млн Отличительный подход в разработке чипов Hailo состоит в том, что его инновационная архитектура может автоматически адаптировать имеющиеся ресурсы для оптимизации работы пользовательских нейронных сетей...

Опубликован рейтинг самых мощных чипов для смартфонов Напомним, что сегодня мы публиковали рейтинг смартфонов по соотношению цены и качества от AnTuTu. Однако помимо данного списка популярный бенчмарк также подготовил рейтинг самых мощных чипов для смартфонов. Так, согласно данным, опубликованным бенчм...

Пробная партия чипов для iPhone 12 отправлена в Apple Как в Apple тестируют чип A14 (на самом деле нет) Аналитики из Тайваня узнали (вычислили?), что TSMC отправила в адрес Apple пробную партию 5-нм чипов, и что это – именно система-на-чипе, которая появится в iPhone 12 в сентябре этого года. Партия от...

В Батайске сожгли 68 новых натуральных шуб из-за неправильно закрепленных чипов В Батайске после решения суда сожгли 68 натуральных шуб, найденных с неправильно закрепленными чипами во время рейда по магазинам Ростова-на-Дону. ГТРК "Дон-ТР" сообщила, что такие чипы легко отсоединить и прикрепить к другой верхней одежде, что счи...

Правительство США планируют перекрыть поставки чипов TSMC для Huawei Иностранные компании, использующие американское оборудование для производства чипов, должны получить лицензию в США, прежде чем поставлять определенные чипы в Huawei. Источники отмечают, что новое правило было разработано специально для ограничения ...

TSMC и Sony построят в Японии завод по производству чипов Sony и TSMC подтвердили намерение построить в Японии завод по производству чипов, который планируют запустить к 2024 году. Стоимость этого проекта оценивается в $7 миллиардов. Отметим, что в октябре TSMC уже говорила о планах по строительству нового...

Reuters: Intel построит в Италии завод по производству чипов Правительство Италии и Intel близки к заключению сделки в размере $5 млрд, по итогу которой американский IT-гигант намерен построить в стране первый полупроводниковый завод. Сообщение об этом появилось на сайте агентства Reuters. ......

Психиатр предостерег от покупки прибора против чипов от вакцин В России появились мошенники, заявляющие, что разработали «дечипизатор», который якобы избавит человека от внедренных в него чипов, полученных вместе с вакциной против COVID-19. Об этом предупредил главный внештатный психиатр департамента здравоохра...

Без чипов и «побочек». Добровольцы рассказали об испытании вакцины от COVID В России завершились испытания первой вакцины от COVID-19. 15 июля из стационара выписалась первая группа добровольцев, которым вводили препарат. Все они чувствуют себя хорошо, хотя провели в изоляции целых 6 недель. Сначала – 2 недели карантина, та...

Intel вложит до $25 млрд в расширение производства чипов в Израиле Намерение построить в Польше предприятие по тестированию и упаковке чипов стало не единственной декларацией планов Intel по расширению своей производственной деятельности в Европе и на Ближнем Востоке. Израильские власти заявили, что компания вложит...

Придумана 3D-индуктивность для чипов: миниатюризация идёт к меломанам и связистам Катушки индуктивности или просто индуктивности трудно воспроизвести на кристалле чипа в компактном виде. Обычно они изготавливаются в виде двухмерной спирали и поэтому занимают много места. Но недавно была придумана технология, которая позволяет соз...

Intel покоряет рынок 5G с помощью ряда новых чипов Несмотря на то, что Intel продала Apple свой бизнес по производству модемов 5G с правами на весь пакет патентов, компания оставила за собой все наработки и продолжает создавать операторские решения для сетей следующего поколения. Сегодня компания сд...

Завершено RTL-проектирование OpenTitan, платформы для заслуживающих доверия чипов Компания Google объявила о завершении проектом OpenTitan, стадий разработки, тестирования и верификации RTL-схем (Register Transfer Level) и описаний аппаратных блоков на языке SystemVerilog. Логический дизайн платформы признан пригодным для создани...

Китайская Xiaomi откажется от чипов Qualcomm в пользу MediaTek Чтобы компенсировать уменьшение поставляемых компонентов, Xiaomi обратились к MediaTek....

TSMC похвасталась успехами в развитии массового производства 5-, 6- и 7-нм чипов Тайваньский контрактный производитель чипов TSMC проинформировал своих клиентов и обозревателей отрасли о последних разработках, достижениях и производственных планах на будущее. Мы уже писали о перспективных техпроцессах компании, но также в рамках...

Евросоюз намерен оказать многомиллиардную поддержку производителям чипов Стало известно о намерении Еврокомиссии упростить правила получения господдержки для производителей полупроводниковой продукции в Евросоюзе....

Apple отказывается от процессоров Intel в пользу своих чипов В рамках открытия 2020 компания Apple объявила о переходе на процессоры собственной разработки в компьютерах Mac. Компания решила отказаться от чипов Intel. Первые компьютеры Apple на процессорах собственной разработки выйдут в конце 2020 года, а пе...

Apple столкнулась с нехваткой чипов управления питанием на iPhone 12 Сообщается, что с учетом возросшего спроса на смартфоны компания увеличила производственные заказы на 2 миллиона....

Qualcomm прокомментировала скандал с оптимизациями чипов MediaTek под тесты На прошлой неделе ресурс Anandtech опубликовал материал, в котором привёл доказательства «оптимизации» компанией MediaTek поведения своих чипов под конкретные популярные тестовые пакеты. Данные говорят о том, что разработчик чипов позвол...

Догнать и перегнать Intel и AMD — в России наращивают производство чипов Для этого даже пришлось организовать дополнительные рабочие смены. Инженеры работают буквально 24 часа в сутки. Сейчас в холдинге хотят ввести даже не двух, а трехсменный режим работы. Наращивать производство самых разных электронных компонентов, а ...

Тайвань отгружает всё больше чипов США, а поставки в Китай падают Поставки микрочипов с острова Тайвань в США растут в течение 26 месяцев подряд, несмотря на спад на мировом рынке полупроводников, о чем сообщает Bloomberg По данным Министерства финансов Тайбэя, закупки тайваньских полупроводников в США выросли на ...

Goldman Sachs: глобальный дефицит чипов близок к завершению В последние несколько кварталов полупроводниковая отрасль столкнулась с дефицитом чипов. Примерно неделю назад, выступая на виртуальном мероприятии Computex 2021, генеральный директор Intel Пэт Гелсинджер завил, что нынешний глобальный дефицит чипов...

Гендиректор Intel: компания может отказаться от производства чипов Гендиректор Intel Боб Свон во время обсуждения финансовых результатов за второй квартал года объявил, что компания может отказаться от производства собственных чипов....

Sony приостанавливает продажу еще одной камеры из-за нехватки чипов Продолжающаяся нехватка микросхем еще не уменьшилась и по-прежнему влияет на различные отрасли по всему миру. Эта проблема уже коснулась смартфонов, автомобилей и даже рынка фотоаппаратов, поскольку фотоаппараты Sony становится все труднее покупать....

TSMC за два года произвела более одного миллиарда 7-нм чипов Тайваньской компании TSMC потребовалось всего чуть больше двух лет для того, чтобы произвести один миллиард 7-нм чипов. Производитель электроники отмечает, что такого количества микросхем хватит для того, чтобы покрыть ими весь нью-йоркский Манхетте...

Bosch инвестирует более 400 миллионов евро в производство чипов Немецкая технологическая группа Robert Bosch выделила более 400 миллионов евро на инвестиции для производства микрочипов в Германии и Малайзии в следующем году, чтобы уменьшить глобальный дефицит. Нехватка чипов для автопроизводителей привела к нару...

Intel может отдать производство чипов сторонним компаниям Intel поделилась финансовыми результатами за второй квартал 2020 года. Также представители компании рассказали, что она может отдать производство чипов сторонним компаниям. Об этом заявил генеральный директор Intel Боб Свон. По его словам, компания ...

Intel построит в Огайо два новых завода по производству чипов Компания Intel вложит более $20 млрд в строительство в штате Огайо двух новых заводов по производству чипов. Объявляя об этом, генеральный директор Intel Пэт Гелсингер заявил, что эти заводы «создадут новый эпицентр самого современного производства ...

Будущие процессоры AMD - «неуклюжая копия» современных чипов Intel AMD задумалась над выпуском процессоров, сочетающих в себе ядра различных типов. В попытке догнать Intel, которая с лета 2020 г. выпускает гетерогенные чипы семейства LakeField, она запатентовала собственную почти идентичную технологию, но пока не с...

Из-за нехватки чипов 95 тысяч автомобилей GM остаются незавершенными на складе Глобальная нехватка компьютерных чипов и других деталей вынудила General Motors построить 95 000 автомобилей без определенных компонентов во втором квартале. Детройтский автопроизводитель заявил в пятницу в нормативной документации, что большая част...

Илон Маск поделился подробностями о работе мозговых чипов Изобретатель Илон Маск рассказал о новом проекте, который направлен на налаживание прямой связи между мозгом человека и компьютером. Данная технология будет связана с чипированием и поможет в восстановлении работы конечностей и регуляции уровня горм...

Научный мир беспокоит перспектива широкого внедрения мозговых чипов На прошлой неделе компания Илона Маска Neuralink, занимающаяся производством нейронных имплантатов, опубликовала видео, на котором примат, которому имплантировали эту технологию, мысленно играет в игру «Понг». Картинка впечатляет, но в остальном спл...

Из-за дефицита чипов подорожают бюджетные материнские платы от Intel В индустрии полупроводников дефицит всех видов продукции, начиная видеокартами и заканчивая процессорами. Кажется, скоро это отразится и на материнских плат. В первую очередь, это коснётся бюджетных предложений Intel на базе B460 и H410. Ожидается, ...

Технический директор AMD: дефицит чипов продлится до 2023 года Относительно дефицита чипов, который затронул самые разные отрасли, ходят совершенно разные прогнозы, но, как правило, все сходятся в одном: в 2022 году ситуация кардинально не поменяется. Технический директор AMD Марк Пейпермастер (Mark Papermaster...

Дефицит заставил Hyundai задуматься о разработке собственных чипов Компания Hyundai Motor оказалась в числе тех производителей, которые не сократили объёмы выпуска автомобилей с началом пандемии, а потому на фоне конкурентов смогли продемонстрировать заметный рост продаж. Это не значит, что корейский гигант не пост...

США готовятся ужесточить запрет на экспорт чипов для систем ИИ в Китай Это может снизить возможности Поднебесной и стимулировать скорое появление схожих по функционалу аналогов...

Поставщики 5G-чипов поспособствуют росту продаж новых смартфонов Ведущие поставщики чипов для смартфонов с поддержкой мобильной связи пятого поколения (5G) обсуждают возможность снижения цен. Об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Фотографии Reuters...

SK Hynix будет по-новому строить многокристальные упаковки чипов Корпорация Xperi объявила о заключении нового лицензионного соглашения на патент и технологию с SK hynix, одним из крупнейших в мире производителей полупроводников. Соглашение включает в себя доступ к широкому ассортименту интеллектуальной собственн...

Nikkei: Япония и США договорились о совместной разработке продвинутых чипов Япония и США создадут совместный исследовательский центр для разработки микрочипов нового поколения — чипов на техпроцессе 2 нм. Об этом сообщило сегодня, 29 июля, японское издание Nikkei без ссылки на источники. Министр экономики Японии Коити Хагиу...

GoPro пообещала, что дефицит чипов не повлияет на продажи в праздники GoPro сообщила, что глобальный дефицит полупроводниковых компонентов и перебои с поставками не повлияют на её продажи в предстоящий период праздников....

Пять фактов об архитектуре графических чипов Nvidia Ampere Геймерам придется подождать появления видеоадаптеров на их основе, но некоторые выводы о будущих возможностях можно сделать уже сейчас. Архитектура видеопроцессоров Nvidia нового поколения, наконец, явлена миру. Прошло почти полтора года с тех пор, ...

Google использует ИИ для ускорения разработки ИИ-чипов следующего поколения Компания Google использует машинное обучение для разработки следующего поколения чипов для машинного обучения. По словам инженеров Google, схемы алгоритмов «сопоставимы или превосходят» конструкции, созданные людьми, но могут быть созданы намного бы...

Huawei планирует построить завод по производству чипов в Шанхае Соединенные Штаты включили Huawei в Entity List, но ограничения для китайского гиганта ужесточились несколько месяцев назад, что сделало практически невозможным производство или закупку чипсетов или других необходимых компонентов для компании. Но те...

AMD наращивает объёмы производства чипов для PlayStation 5 и Xbox Series X Из-за пандемии нового коронавируса многим компаниям пришлось отложить запуск новых продуктов и перенести его на более поздние сроки. Игроки по всему миру переживают касательно того, чтобы аналогичная судьба не постигла игровые консоли PlayStation 5 ...

Ограничения США на экспорт чипов Nvidia и AMD скажутся на «Яндексе», VK и «Сбере» Им придется искать альтернативных поставщиков процессоров для голосовых ассистентов и технологии распознавания лиц...

У меда есть потенциал для создания «мозгоподобных» компьютерных чипов Мед может стать прекрасным решением для разработки экологически безопасных компонентов для нейроморфных компьютеров, систем, предназначенных для имитации нейронов и синапсов человеческого мозга. Нейроморфные системы, которые некоторые называют будущ...

TSMC переходит на новый технологический процесс выпуска чипов По сообщениям крупных инсайдеров, компания TSMC в конечном итоге сместит своё внимание с чипов N3 на чипы N3E, и несколько крупнейших компаний уже проявили интерес к этой технологии. В публикации западного издания говорится, что производитель получи...

AMD радует выпуском нового поколения мобильных чипов Ryzen Компания-разработчик процессорных чипов AMD сегодня порадовала достаточно широкую аудиторию поклонников высокопроизводительных ноутбуков и прочих портативных устройств, поскольку наконец-то произвела анонс нового поколения мобильных процессоров AMD ...

Российский производитель чипов впервые за несколько лет получил прибыль! Если у кого-то и остались сомнения насчет положительного эффекта антироссийских санкций на российскую экономику, то они все быстрее развеиваются. Многие российские предприятия начали работать в новом ритме, а их продукция стала более востребованной....

Контакты

×


Переход к полной новости через: 15